Rowan-Classes/3rd-Semester-Fall-2022/Comp-Arch/4RegisterFile/Lab1_testbench.v
2024-02-22 14:26:13 -05:00

14 lines
216 B
Verilog
Executable File

module Lab1_testbench();
reg [7:0] switch;
wire [7:0] hex;
Lab1 dut (hex, switch);
initial
switch <= 7'b0;
always begin
#10
switch <= switch + 7'b0000001;
end
initial begin
#1500 $stop;
end
endmodule